Intel announces packaging & process roadmap till 2025, will make chips for Qualcomm

Over the last few years, Intel’s decline in the consumer processor space has become very obvious, which likely led to the change in the leadership, including the hiring of their new CEO Pat Gelsinger. The company has been making multiple changes internally that are aimed at putting Intel back in the top, and during their Accelerated webcast today, they revealed the roadmap of process and packaging innovations till 2025 and beyond.

Intel is adopting a new node naming scheme that they believe is more accurate and representative of the advancements made in semiconductor transistor technology. Instead of the traditional nanometer-based process node naming, Intel introduced the following names for upcoming nodes:

  • Intel 7 – Delivers an approximately 10% to 15% performance-per-watt increase versus Intel 10nm SuperFin, based on FinFET transistor optimizations. Will be featured in products such as Alder Lake for client in 2021 and Sapphire Rapids for the data center. Expected to be in production in the Q1 2022.
  • Intel 4 – Use EUV lithography. Approximately 20% performance-per-watt increase, along with area improvements. Production begins in the second half of 2022 for products shipping in 2023, including Meteor Lake for the client and Granite Rapids for the data center.
  • Intel 3 – Further FinFET optimizations and increased EUV to deliver an approximately 18% performance-per-watt increase over Intel 4. Will be ready to begin manufacturing products in the second half of 2023.
  • Intel 20A – RibbonFET technology delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia, industry-first implementation of backside power delivery optimizes signal transmission by eliminating the need for power routing on the front side of the wafer.  Expected to ramp in 2024. Will build the chips for Qualcomm.
  • Intel 18A – Already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance. Intel is partnering closely with ASML to assure the success of this industry breakthrough beyond the current generation of EUV.

Amazon AWS will be working with Intel Foundry Services to use Intel’s packaging solutions, but Intel will not make chips directly.

With Intel 20A, the company is planning to introduce their RibbonFET and PowerVia technology.

Intel’s RibbonFET transistor render

RibbonFET will be their implementation of a gate-all-around transistor, while PowerVia is Intel’s unique industry-first implementation of backside power delivery.

Lastly, Intel unveiled two major updates to their Foveros chip-stacking packaging technologies, namely Foveros Omni and Foveros Direct. The former will allow flexibility with performance 3D stacking technology for die-to-die interconnect and modular designs, while the latter moves to direct copper-to-copper bonding for low-resistance interconnects and blurs the boundary between where the wafer ends and where the package begins.

Source | Via


Related Post